Skip to content

Commit 10570d0

Browse files
authored
Merge pull request #3290 from Pinata-Consulting/sdc-fix-invalid-start-point
Sdc fix invalid start point
2 parents 9c8c69e + 2688092 commit 10570d0

File tree

2 files changed

+4
-5
lines changed

2 files changed

+4
-5
lines changed

flow/designs/asap7/mock-array/rules-base.json

Lines changed: 3 additions & 3 deletions
Original file line numberDiff line numberDiff line change
@@ -48,23 +48,23 @@
4848
"compare": "<="
4949
},
5050
"finish__timing__setup__ws": {
51-
"value": 0.0,
51+
"value": -440.47,
5252
"compare": ">="
5353
},
5454
"finish__design__instance__area": {
5555
"value": 137967,
5656
"compare": "<="
5757
},
5858
"finish__timing__drv__setup_violation_count": {
59-
"value": 536,
59+
"value": 605,
6060
"compare": "<="
6161
},
6262
"finish__timing__drv__hold_violation_count": {
6363
"value": 100,
6464
"compare": "<="
6565
},
6666
"finish__timing__wns_percent_delay": {
67-
"value": -10.0,
67+
"value": -111.1,
6868
"compare": ">="
6969
}
7070
}

flow/platforms/asap7/constraints.sdc

Lines changed: 1 addition & 2 deletions
Original file line numberDiff line numberDiff line change
@@ -70,15 +70,14 @@ set clk_port [get_ports $clk_port_name]
7070
create_clock -period $clk_period -waveform [list 0 [expr $clk_period / 2]] -name $clk_name $clk_port
7171

7272
set non_clk_inputs [all_inputs -no_clocks]
73-
set all_register_outputs [get_pins -of_objects [all_registers] -filter {direction == output}]
7473

7574
# Optimization targets: overconstrain by default and
7675
# leave refinements to a more design specific constraints.sdc file.
7776
#
7877
# Minimum time for io-io, io-reg, reg-io paths in macro is on
7978
# the order of 80ps for a small macro on ASAP7.
8079
set_max_delay [expr { [info exists in2reg_max] ? $in2reg_max : 80 }] -from $non_clk_inputs -to [all_registers]
81-
set_max_delay [expr { [info exists reg2out_max] ? $reg2out_max : 80 }] -from $all_register_outputs -to [all_outputs]
80+
set_max_delay [expr { [info exists reg2out_max] ? $reg2out_max : 80 }] -from [all_registers] -to [all_outputs]
8281
set_max_delay [expr { [info exists in2out_max] ? $in2out_max : 80 }] -from $non_clk_inputs -to [all_outputs]
8382

8483
# This allows us to view the different groups

0 commit comments

Comments
 (0)