Skip to content

Commit 9c8c69e

Browse files
authored
Merge pull request #3288 from Pinata-Consulting/sdc-simpler
sdc: simplify
2 parents aa49946 + f99cbd6 commit 9c8c69e

Some content is hidden

Large Commits have some content hidden by default. Use the searchbox below for content that may be hidden.

47 files changed

+47
-47
lines changed

flow/designs/asap7/aes-block/constraint.sdc

Lines changed: 1 addition & 1 deletion
Original file line numberDiff line numberDiff line change
@@ -7,7 +7,7 @@ set clk_port [get_ports $clk_port_name]
77

88
create_clock -name $clk_name -period $clk_period $clk_port
99

10-
set non_clock_inputs [lsearch -inline -all -not -exact [all_inputs] $clk_port]
10+
set non_clock_inputs [all_inputs -no_clocks]
1111

1212
set_input_delay [expr $clk_period * $clk_io_pct] -clock $clk_name $non_clock_inputs
1313
set_output_delay [expr $clk_period * $clk_io_pct] -clock $clk_name [all_outputs]

flow/designs/asap7/aes-mbff/constraint.sdc

Lines changed: 1 addition & 1 deletion
Original file line numberDiff line numberDiff line change
@@ -7,7 +7,7 @@ set clk_port [get_ports $clk_port_name]
77

88
create_clock -name $clk_name -period $clk_period $clk_port
99

10-
set non_clock_inputs [lsearch -inline -all -not -exact [all_inputs] $clk_port]
10+
set non_clock_inputs [all_inputs -no_clocks]
1111

1212
set_input_delay [expr $clk_period * $clk_io_pct] -clock $clk_name $non_clock_inputs
1313
set_output_delay [expr $clk_period * $clk_io_pct] -clock $clk_name [all_outputs]

flow/designs/asap7/aes/constraint.sdc

Lines changed: 1 addition & 1 deletion
Original file line numberDiff line numberDiff line change
@@ -7,7 +7,7 @@ set clk_port [get_ports $clk_port_name]
77

88
create_clock -name $clk_name -period $clk_period $clk_port
99

10-
set non_clock_inputs [lsearch -inline -all -not -exact [all_inputs] $clk_port]
10+
set non_clock_inputs [all_inputs -no_clocks]
1111

1212
set_input_delay [expr $clk_period * $clk_io_pct] -clock $clk_name $non_clock_inputs
1313
set_output_delay [expr $clk_period * $clk_io_pct] -clock $clk_name [all_outputs]

flow/designs/asap7/aes_lvt/constraint.sdc

Lines changed: 1 addition & 1 deletion
Original file line numberDiff line numberDiff line change
@@ -7,7 +7,7 @@ set clk_port [get_ports $clk_port_name]
77

88
create_clock -name $clk_name -period $clk_period $clk_port
99

10-
set non_clock_inputs [lsearch -inline -all -not -exact [all_inputs] $clk_port]
10+
set non_clock_inputs [all_inputs -no_clocks]
1111

1212
set_input_delay [expr $clk_period * $clk_io_pct] -clock $clk_name $non_clock_inputs
1313
set_output_delay [expr $clk_period * $clk_io_pct] -clock $clk_name [all_outputs]

flow/designs/asap7/ethmac/constraint.sdc

Lines changed: 1 addition & 1 deletion
Original file line numberDiff line numberDiff line change
@@ -3,7 +3,7 @@ set clk_period 1000
33
set clk_io_pct 0.2
44
set clk_port [get_ports $top_clk_name]
55
create_clock -name $top_clk_name -period $clk_period $clk_port
6-
set non_clock_inputs [lsearch -inline -all -not -exact [all_inputs] $clk_port]
6+
set non_clock_inputs [all_inputs -no_clocks]
77
set_input_delay [expr $clk_period * $clk_io_pct] -clock $top_clk_name $non_clock_inputs
88
set_output_delay [expr $clk_period * $clk_io_pct] -clock $top_clk_name [all_outputs]
99

flow/designs/asap7/ethmac_lvt/constraint.sdc

Lines changed: 1 addition & 1 deletion
Original file line numberDiff line numberDiff line change
@@ -3,7 +3,7 @@ set clk_period 1000
33
set clk_io_pct 0.2
44
set clk_port [get_ports $top_clk_name]
55
create_clock -name $top_clk_name -period $clk_period $clk_port
6-
set non_clock_inputs [lsearch -inline -all -not -exact [all_inputs] $clk_port]
6+
set non_clock_inputs [all_inputs -no_clocks]
77
set_input_delay [expr $clk_period * $clk_io_pct] -clock $top_clk_name $non_clock_inputs
88
set_output_delay [expr $clk_period * $clk_io_pct] -clock $top_clk_name [all_outputs]
99

flow/designs/asap7/gcd/constraint.sdc

Lines changed: 1 addition & 1 deletion
Original file line numberDiff line numberDiff line change
@@ -9,7 +9,7 @@ set clk_port [get_ports $clk_port_name]
99

1010
create_clock -name $clk_name -period $clk_period $clk_port
1111

12-
set non_clock_inputs [lsearch -inline -all -not -exact [all_inputs] $clk_port]
12+
set non_clock_inputs [all_inputs -no_clocks]
1313

1414
set_input_delay [expr $clk_period * $clk_io_pct] -clock $clk_name $non_clock_inputs
1515
set_output_delay [expr $clk_period * $clk_io_pct] -clock $clk_name [all_outputs]

flow/designs/asap7/ibex/constraint.sdc

Lines changed: 1 addition & 1 deletion
Original file line numberDiff line numberDiff line change
@@ -7,7 +7,7 @@ set clk_port [get_ports $clk_port_name]
77

88
create_clock -name $clk_name -period $clk_period $clk_port
99

10-
set non_clock_inputs [lsearch -inline -all -not -exact [all_inputs] $clk_port]
10+
set non_clock_inputs [all_inputs -no_clocks]
1111

1212
set_input_delay [expr $clk_period * $clk_io_pct] -clock $clk_name $non_clock_inputs
1313
set_output_delay [expr $clk_period * $clk_io_pct] -clock $clk_name [all_outputs]

flow/designs/asap7/ibex/constraint_pos_slack.sdc

Lines changed: 1 addition & 1 deletion
Original file line numberDiff line numberDiff line change
@@ -7,7 +7,7 @@ set clk_port [get_ports $clk_port_name]
77

88
create_clock -name $clk_name -period $clk_period $clk_port
99

10-
set non_clock_inputs [lsearch -inline -all -not -exact [all_inputs] $clk_port]
10+
set non_clock_inputs [all_inputs -no_clocks]
1111

1212
set_input_delay [expr $clk_period * $clk_io_pct] -clock $clk_name $non_clock_inputs
1313
set_output_delay [expr $clk_period * $clk_io_pct] -clock $clk_name [all_outputs]

flow/designs/asap7/jpeg/jpeg_encoder15_7nm.sdc

Lines changed: 1 addition & 1 deletion
Original file line numberDiff line numberDiff line change
@@ -9,7 +9,7 @@ set clk_port [get_ports $clk_port_name]
99

1010
create_clock -name $clk_name -period $clk_period $clk_port
1111

12-
set non_clock_inputs [lsearch -inline -all -not -exact [all_inputs] $clk_port]
12+
set non_clock_inputs [all_inputs -no_clocks]
1313

1414
set_input_delay [expr $clk_period * $clk_io_pct] -clock $clk_name $non_clock_inputs
1515
set_output_delay [expr $clk_period * $clk_io_pct] -clock $clk_name [all_outputs]

0 commit comments

Comments
 (0)