Skip to content

Commit 8b493dc

Browse files
authored
Merge pull request #3312 from The-OpenROAD-Project-staging/tidy-rapidus-sdc
tclfmt/lint the Rapidus design .sdc files
2 parents 2059515 + 1cd6a0c commit 8b493dc

File tree

6 files changed

+42
-28
lines changed

6 files changed

+42
-28
lines changed

flow/designs/rapidus2hp/ethmac/constraint.sdc

Lines changed: 19 additions & 11 deletions
Original file line numberDiff line numberDiff line change
@@ -4,28 +4,36 @@ set clk_io_pct 0.2
44
set clk_port [get_ports $top_clk_name]
55
create_clock -name $top_clk_name -period $clk_period $clk_port
66
set non_clock_inputs [lsearch -inline -all -not -exact [all_inputs] $clk_port]
7-
set_input_delay [expr $clk_period * $clk_io_pct] -clock $top_clk_name $non_clock_inputs
8-
set_output_delay [expr $clk_period * $clk_io_pct] -clock $top_clk_name [all_outputs]
7+
set_input_delay [expr { $clk_period * $clk_io_pct }] -clock $top_clk_name \
8+
$non_clock_inputs
9+
set_output_delay [expr { $clk_period * $clk_io_pct }] -clock $top_clk_name \
10+
[all_outputs]
911

1012
set tx_clk_name mtx_clk_pad_i
1113
set tx_clk_port [get_ports $tx_clk_name]
1214
set tx_clk_period 300
1315
create_clock -name $tx_clk_name -period $tx_clk_period $tx_clk_port
14-
set mtx_non_clock_inputs [lsearch -inline -all -not -exact [all_inputs] $tx_clk_port]
15-
set_input_delay [expr $tx_clk_period * $clk_io_pct] -clock $tx_clk_name $mtx_non_clock_inputs
16-
set_output_delay [expr $tx_clk_period * $clk_io_pct] -clock $tx_clk_name [all_outputs]
16+
set mtx_non_clock_inputs [lsearch -inline -all -not -exact [all_inputs] \
17+
$tx_clk_port]
18+
set_input_delay [expr { $tx_clk_period * $clk_io_pct }] -clock $tx_clk_name \
19+
$mtx_non_clock_inputs
20+
set_output_delay [expr { $tx_clk_period * $clk_io_pct }] -clock $tx_clk_name \
21+
[all_outputs]
1722

1823
set rx_clk_name mrx_clk_pad_i
1924
set rx_clk_port [get_ports $rx_clk_name]
2025
set rx_clk_period 300
2126
create_clock -name $rx_clk_name -period $rx_clk_period $rx_clk_port
22-
set mrx_non_clock_inputs [lsearch -inline -all -not -exact [all_inputs] $rx_clk_port]
23-
set_input_delay [expr $rx_clk_period * $clk_io_pct] -clock $rx_clk_name $mrx_non_clock_inputs
24-
set_output_delay [expr $rx_clk_period * $clk_io_pct] -clock $rx_clk_name [all_outputs]
27+
set mrx_non_clock_inputs [lsearch -inline -all -not -exact [all_inputs] \
28+
$rx_clk_port]
29+
set_input_delay [expr { $rx_clk_period * $clk_io_pct }] -clock $rx_clk_name \
30+
$mrx_non_clock_inputs
31+
set_output_delay [expr { $rx_clk_period * $clk_io_pct }] -clock $rx_clk_name \
32+
[all_outputs]
2533

2634
set_clock_groups -name core_clock -logically_exclusive \
27-
-group [get_clocks $top_clk_name] \
28-
-group [get_clocks $tx_clk_name] \
29-
-group [get_clocks $rx_clk_name]
35+
-group [get_clocks $top_clk_name] \
36+
-group [get_clocks $tx_clk_name] \
37+
-group [get_clocks $rx_clk_name]
3038

3139
set_max_fanout 10 [current_design]
Lines changed: 6 additions & 4 deletions
Original file line numberDiff line numberDiff line change
@@ -1,15 +1,17 @@
11
current_design gcd
22

3-
set clk_name core_clock
3+
set clk_name core_clock
44
set clk_port_name clk
55
set clk_period 185
66
set clk_io_pct 0.2
77

88
set clk_port [get_ports $clk_port_name]
99

10-
create_clock -name $clk_name -period $clk_period $clk_port
10+
create_clock -name $clk_name -period $clk_period $clk_port
1111

1212
set non_clock_inputs [lsearch -inline -all -not -exact [all_inputs] $clk_port]
1313

14-
set_input_delay [expr $clk_period * $clk_io_pct] -clock $clk_name $non_clock_inputs
15-
set_output_delay [expr $clk_period * $clk_io_pct] -clock $clk_name [all_outputs]
14+
set_input_delay [expr { $clk_period * $clk_io_pct }] -clock $clk_name \
15+
$non_clock_inputs
16+
set_output_delay [expr { $clk_period * $clk_io_pct }] -clock $clk_name \
17+
[all_outputs]

flow/designs/rapidus2hp/hercules_is_int/prects.sdc

Lines changed: 2 additions & 4 deletions
Original file line numberDiff line numberDiff line change
@@ -8,7 +8,5 @@ set_max_fanout 32 [current_design]
88
set_load 10 [all_outputs]
99
set_max_capacitance 10 [all_inputs]
1010

11-
create_clock -name "clk" -add -period $clk_period -waveform [list 0.0 [expr 0.5*$clk_period]] [get_ports clk]
12-
13-
14-
11+
create_clock -name "clk" -add -period $clk_period \
12+
-waveform [list 0.0 [expr { 0.5 * $clk_period }]] [get_ports clk]
Lines changed: 5 additions & 3 deletions
Original file line numberDiff line numberDiff line change
@@ -1,4 +1,4 @@
1-
set clk_name core_clock
1+
set clk_name core_clock
22
set clk_port_name clk_i
33
set clk_period 790
44
set clk_io_pct 0.2
@@ -9,5 +9,7 @@ create_clock -name $clk_name -period $clk_period $clk_port
99

1010
set non_clock_inputs [lsearch -inline -all -not -exact [all_inputs] $clk_port]
1111

12-
set_input_delay [expr $clk_period * $clk_io_pct] -clock $clk_name $non_clock_inputs
13-
set_output_delay [expr $clk_period * $clk_io_pct] -clock $clk_name [all_outputs]
12+
set_input_delay [expr { $clk_period * $clk_io_pct }] -clock $clk_name \
13+
$non_clock_inputs
14+
set_output_delay [expr { $clk_period * $clk_io_pct }] -clock $clk_name \
15+
[all_outputs]
Lines changed: 5 additions & 3 deletions
Original file line numberDiff line numberDiff line change
@@ -1,4 +1,4 @@
1-
set clk_name core_clock
1+
set clk_name core_clock
22
set clk_port_name clk_i
33
set clk_period 1468
44
set clk_io_pct 0.2
@@ -9,5 +9,7 @@ create_clock -name $clk_name -period $clk_period $clk_port
99

1010
set non_clock_inputs [lsearch -inline -all -not -exact [all_inputs] $clk_port]
1111

12-
set_input_delay [expr $clk_period * $clk_io_pct] -clock $clk_name $non_clock_inputs
13-
set_output_delay [expr $clk_period * $clk_io_pct] -clock $clk_name [all_outputs]
12+
set_input_delay [expr { $clk_period * $clk_io_pct }] -clock $clk_name \
13+
$non_clock_inputs
14+
set_output_delay [expr { $clk_period * $clk_io_pct }] -clock $clk_name \
15+
[all_outputs]
Lines changed: 5 additions & 3 deletions
Original file line numberDiff line numberDiff line change
@@ -1,6 +1,6 @@
11
current_design jpeg_encoder
22

3-
set clk_name clk
3+
set clk_name clk
44
set clk_port_name clk
55
set clk_period 425
66
set clk_io_pct 0.2
@@ -11,5 +11,7 @@ create_clock -name $clk_name -period $clk_period $clk_port
1111

1212
set non_clock_inputs [lsearch -inline -all -not -exact [all_inputs] $clk_port]
1313

14-
set_input_delay [expr $clk_period * $clk_io_pct] -clock $clk_name $non_clock_inputs
15-
set_output_delay [expr $clk_period * $clk_io_pct] -clock $clk_name [all_outputs]
14+
set_input_delay [expr { $clk_period * $clk_io_pct }] -clock $clk_name \
15+
$non_clock_inputs
16+
set_output_delay [expr { $clk_period * $clk_io_pct }] -clock $clk_name \
17+
[all_outputs]

0 commit comments

Comments
 (0)