@@ -4,28 +4,36 @@ set clk_io_pct 0.2
44set clk_port [get_ports $top_clk_name ]
55create_clock -name $top_clk_name -period $clk_period $clk_port
66set non_clock_inputs [lsearch -inline -all -not -exact [all_inputs] $clk_port ]
7- set_input_delay [expr $clk_period * $clk_io_pct ] -clock $top_clk_name $non_clock_inputs
8- set_output_delay [expr $clk_period * $clk_io_pct ] -clock $top_clk_name [all_outputs]
7+ set_input_delay [expr { $clk_period * $clk_io_pct }] -clock $top_clk_name \
8+ $non_clock_inputs
9+ set_output_delay [expr { $clk_period * $clk_io_pct }] -clock $top_clk_name \
10+ [all_outputs]
911
1012set tx_clk_name mtx_clk_pad_i
1113set tx_clk_port [get_ports $tx_clk_name ]
1214set tx_clk_period 300
1315create_clock -name $tx_clk_name -period $tx_clk_period $tx_clk_port
14- set mtx_non_clock_inputs [lsearch -inline -all -not -exact [all_inputs] $tx_clk_port ]
15- set_input_delay [expr $tx_clk_period * $clk_io_pct ] -clock $tx_clk_name $mtx_non_clock_inputs
16- set_output_delay [expr $tx_clk_period * $clk_io_pct ] -clock $tx_clk_name [all_outputs]
16+ set mtx_non_clock_inputs [lsearch -inline -all -not -exact [all_inputs] \
17+ $tx_clk_port ]
18+ set_input_delay [expr { $tx_clk_period * $clk_io_pct }] -clock $tx_clk_name \
19+ $mtx_non_clock_inputs
20+ set_output_delay [expr { $tx_clk_period * $clk_io_pct }] -clock $tx_clk_name \
21+ [all_outputs]
1722
1823set rx_clk_name mrx_clk_pad_i
1924set rx_clk_port [get_ports $rx_clk_name ]
2025set rx_clk_period 300
2126create_clock -name $rx_clk_name -period $rx_clk_period $rx_clk_port
22- set mrx_non_clock_inputs [lsearch -inline -all -not -exact [all_inputs] $rx_clk_port ]
23- set_input_delay [expr $rx_clk_period * $clk_io_pct ] -clock $rx_clk_name $mrx_non_clock_inputs
24- set_output_delay [expr $rx_clk_period * $clk_io_pct ] -clock $rx_clk_name [all_outputs]
27+ set mrx_non_clock_inputs [lsearch -inline -all -not -exact [all_inputs] \
28+ $rx_clk_port ]
29+ set_input_delay [expr { $rx_clk_period * $clk_io_pct }] -clock $rx_clk_name \
30+ $mrx_non_clock_inputs
31+ set_output_delay [expr { $rx_clk_period * $clk_io_pct }] -clock $rx_clk_name \
32+ [all_outputs]
2533
2634set_clock_groups -name core_clock -logically_exclusive \
27- -group [get_clocks $top_clk_name ] \
28- -group [get_clocks $tx_clk_name ] \
29- -group [get_clocks $rx_clk_name ]
35+ -group [get_clocks $top_clk_name ] \
36+ -group [get_clocks $tx_clk_name ] \
37+ -group [get_clocks $rx_clk_name ]
3038
3139set_max_fanout 10 [current_design]
0 commit comments